[Oisf-devel] Lua Output - Streaming Data

Gofran, Paul paul.gofran at lmco.com
Fri Nov 14 15:15:18 UTC 2014


When using the Lua Output feature to stream data, is there any way to determine when a flow has ended?   Or to know when a stream is the last stream in a flow?

I'm trying to reassemble a full payload to scan it externally, but don't want to scan it until I know I have the full payload.

I would appreciate any thoughts on how this might be possible,
Thanks,
Paul





-------------- next part --------------
An HTML attachment was scrubbed...
URL: <http://lists.openinfosecfoundation.org/pipermail/oisf-devel/attachments/20141114/2b85290e/attachment.html>


More information about the Oisf-devel mailing list